Largo samurai58
Mon Oct 9 02:08:19 PDT 2006
Hi everybody

I implemented SLONY-I for a long period and now I wanted to deploy it in 
a productive environment.
I wanna know :

- How to get the control over the synchronization interval TIME ; I 
wanna change it as example from 10 or 8 seconds to 1 second.

- How could be a workaround solution to get the changes done in a period 
but still not replicated, logged to add them also to the master after a 
failover.

Thanks in advance !



More information about the Slony1-general mailing list